Skip to content

Commit a19fbd2

Browse files
Update outdated information
These things were correct in the past, but have since changed.
1 parent 809bb16 commit a19fbd2

File tree

5 files changed

+10
-8
lines changed

5 files changed

+10
-8
lines changed

compiler-user-guide/src/developing-hardware/prelude.md

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -151,7 +151,7 @@ There are also implementations of typical classes in Haskell which have been cha
151151
Currently these are
152152

153153
- `ShowX`, which works like the `Show` class in Haskell.
154-
When an undefined value is encountered an "X" is printed.
154+
When an undefined value is encountered, `undefined` is printed.
155155
`Show` can still be used, but will throw an exception if an undefined value is encountered.
156156
- `NFDataX`, which works like the `NFData` class in the `deepseq` library.
157157
This allows evaluating values to normal form in code when `XException` may be present.

compiler-user-guide/src/hacking-on-clash/index.md

Lines changed: 4 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -8,7 +8,9 @@ This means you need:
88

99
- [ghdl](https://github.com/ghdl/ghdl) installed to test *VHDL*
1010
- [iverilog](https://github.com/steveicarus/iverilog) installed to test *Verilog*
11+
- [Verilator](http://verilator.org/) installed to test *Verilog* and *SystemVerilog*
1112
- [ModelSim](https://fpgasoftware.intel.com/?product=modelsim_ae#tabs-2) installed to test *SystemVerilog*
13+
- [Vivado](https://www.amd.com/en/products/software/adaptive-socs-and-fpgas/vivado.html) installed to test *VHDL*, *Verilog* and *SystemVerilog*
1214
- [SymbiYosys](https://github.com/YosysHQ/SymbiYosys) and [Z3](https://github.com/Z3Prover/z3) installed to test *Verilog* and *SystemVerilog*
1315

1416
## Get Clash from source
@@ -47,7 +49,7 @@ Windows users are recommended to use the [Haskell Platform](https://www.haskell.
4749
To run <span class="title-ref">clash</span> use:
4850

4951
``` bash
50-
cabal v2-run --write-ghc-environment-files=always -- clash
52+
cabal v2-run -- clash
5153
```
5254

5355
If this fails, make sure you've got an up-to-date package index:
@@ -69,7 +71,7 @@ stack run -- clash
6971
Or [use Nix](https://nixos.org/nix/download.html) to get a shell with the `clash` and `clashi` binaries on your PATH:
7072

7173
``` bash
72-
nix-shell
74+
nix develop
7375
```
7476
## Subprojects
7577

tutorial/src/first-steps/test-bench.md

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -63,10 +63,10 @@ The reason is that `stimuliGenerator` will keep on producing the last sample, (4
6363
In the VHDL test bench these errors will not show, as the global clock will be stopped after 4 ticks.
6464

6565
You should now again run `:vhdl` in the interpreter; this time the compiler will take a bit longer to generate all the circuits.
66-
Inside the `./vhdl/MAC` directory you will now also find a `testbench` subdirectory containing all the `vhdl` files for the *test bench*.
66+
Inside the `./vhdl/MAC.testBench` directory you will now find all the `vhdl` files for the *test bench*.
6767

6868
After compilation is finished you load all the files in your favorite VHDL simulation tool.
69-
Once all files are loaded into the VHDL simulator, run the simulation on the `testbench` entity.
69+
Once all files are loaded into the VHDL simulator, run the simulation on the `testBench` entity.
7070
On questasim / modelsim: doing a `run -all` will finish once the output verifier will assert its output to `true`.
7171
The generated test bench, modulo the clock signal generator(s), is completely synthesizable.
7272
This means that if you want to test your circuit on an FPGA, you will only have to replace the clock signal generator(s) by actual clock sources, such as an onboard PLL.

tutorial/src/first-steps/verilog.md

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@
22

33
Aside from being able to generate VHDL, the Clash compiler can also generate Verilog and SystemVerilog.
44
You can repeat the previous two parts of the tutorial, but instead of executing the `:vhdl` command, you execute the `:verilog` or `:sytemverilog` command in the interpreter.
5-
This will create a directory called `verilog`, respectively `systemverilog`, which contains a directory called `MAC`, which ultimately contains all the generated Verilog and SystemVerilog files.
5+
This will create a directory called `verilog`, respectively `systemverilog`, which contains a directory called `MAC.topEntity`, which ultimately contains all the generated Verilog and SystemVerilog files.
66
Verilog files end in the file extension `.v`, while SystemVerilog files end in the file extension `.sv`.
77

88
This concludes the tutorial for "Your first circuit".

tutorial/src/first-steps/vhdl.md

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -49,5 +49,5 @@ It must meet the following restrictions in order for the Clash compiler to work:
4949
- Although not strictly necessary, it is recommended to *expose* `Hidden` clock and reset arguments, as it makes user-controlled name assignment in the generated HDL easier to do.
5050

5151
Our `topEntity` meets those restrictions, and so we can convert it successfully to VHDL by executing the `:vhdl` command in the interpreter.
52-
This will create a directory called `vhdl`, which contains a directory called `MAC`, which ultimately contains all the generated VHDL files.
53-
You can now load these files into your favorite VHDL synthesis tool, marking `topentity.vhdl` as the file containing the top level entity.
52+
This will create a directory called `vhdl`, which contains a directory called `MAC.topEntity`, which ultimately contains all the generated VHDL files.
53+
You can now load these files into your favorite VHDL synthesis tool, marking `topEntity.vhdl` as the file containing the top level entity.

0 commit comments

Comments
 (0)